Study/SoC 구조 설계

[SoC 구조 및 설계] 06 - EDA 프로그램 비교 및 설치 방법

도엔 2025. 1. 31. 16:59
728x90

개인적으로 헷갈려서 찾아보고 용도를 정리함.
※ 따라서 전부 설치해본 것이 아니므로 틀린 정보가 있을 수 있음 주의!
_

1. EDA (Electronic Design Automation) 란?

  • 반도체 칩, FPGA, ASIC 설계를 위한 소프트웨어 도구
  • 회로 설계, 검증, 시뮬레이션, 합성(Synthesis), 배치-배선(Layout) 등 수행


==========𝔼𝔼𝟚𝟚==========

 

2. 주요 EDA 프로그램 비교

  • EDA 툴을 기능별로 구분할 수 있다.
목적 기능 특징 사용
RTL 시뮬레이션 QuestaSim (Siemens EDA) Verilog/SystemVerilog/VHDL 시뮬레이션 빠른 속도, UVM 지원, 강력한 디버깅 ASIC + FPGA
Vivado Simulator (Xilinx) Xilinx FPGA RTL 시뮬레이션 Xilinx 전용, 기본 제공 FPGA 전용
ModelSim (Intel/Siemens) 기본 RTL 시뮬레이션 무료 버전 제공, FPGA 용도로 적합
FPGA 개발 Vivado (Xilinx) Xilinx FPGA 설계 및 검증 Xilinx FPGA 최적화, Bitstream 생성 가능
Quartus Prime (Intel) Intel FPGA 설계 및 검증 Intel FPGA용 공식 EDA
ASIC 검증 Synopsys VCS ASIC RTL 시뮬레이션 빠른 시뮬레이션, UVM 지원 ASIC 전용
Cadence Xcelium ASIC 검증 및 시뮬레이션 UVM 지원, 빠른 검증 성능
합성 및 P&R Synopsys Design Compiler RTL 합성 (Synthesis) ASIC용 논리 합성
Cadence Innovus 물리 설계 (Place & Route) 배치-배선 최적화

 


==========𝔼𝔼𝟚𝟚==========

 

3. 주요 프로그램 설치 및 실행 방법

3.1 QuestaSim (Siemens EDA)

  • 설치 방법
    1) Siemens EDA(구 Mentor Graphics) 웹사이트에서 QuestaSim 다운로드
    2) 설치 파일 실행 후, 라이선스 설정 (LM_LICENSE_FILE 환경 변수 추가)
    3) vsim -version 명령어로 정상 설치 확인
  • 기본 실행 : vsim -gui
  • Verilog 파일 컴파일 및 실행
vlog my_design.v vsim my_design_tb add wave -r * run -all

 

_

3.2 Xilinx Vivado

  • 설치 방법
    1) Xilinx 공식 웹사이트에서 Vivado 다운로드: 🔗 https://www.xilinx.com/support/download.html
    2) 회원가입 후, 다운로드 및 설치
    3) 환경 변수 설정 후 Vivado 실행
  • 기본 실행 : vivado &
  • FPGA 프로젝트 생성
    1) Create Project 클릭
    2) FPGA 보드 선택
    3) Verilog/VHDL 코드 추가
    4) Bitstream 생성 및 FPGA 프로그래밍 진행

_

3.3 Intel Quartus Prime

_

3.4 Synopsys VCS (ASIC 검증)

  • 설치 방법
    1) Synopsys에서 설치
    2) source setup.cshrc 명령으로 환경 설정
  • 기본 실행
vcs -full64 -debug_pp my_design.v
./simv

_

3.5 Cadence Innovus (ASIC 물리 설계)

  • 설치 방법
    1) Cadence에서 설치
    2) source ~/.cshrc 파일에서 경로 설정
  • 기본 실행 : innovus -64


==========𝔼𝔼𝟚𝟚==========

 

4. EDA 프로그램 선택 가이드

  • EDA 도구를 선택할 때는 ASIC 설계인지, FPGA 설계인지를 먼저 고려하자!
FPGA 시뮬레이션 QuestaSim, ModelSim, Vivado Simulator
FPGA 개발 Vivado (Xilinx), Quartus Prime (Intel)
ASIC 시뮬레이션 QuestaSim, Synopsys VCS, Cadence Xcelium
ASIC 합성(Synthesis) Synopsys Design Compiler
ASIC 배치-배선(Layout) Cadence Innovus


==========𝔼𝔼𝟚𝟚==========

 

5. 결론

  • QuestaSim, ModelSim: RTL 시뮬레이션 및 기능 검증
  • Vivado, Quartus Prime: FPGA 개발 및 비트스트림 생성
  • Synopsys VCS, Cadence Xcelium: ASIC 검증 및 시뮬레이션
  • Design Compiler, Innovus: ASIC 논리 합성과 물리 설계

_

  • FPGA 설계 : Vivado(또는 Quartus)
  • ASIC 설계 : Synopsys/Cadence 툴
  • RTL 시뮬레이션 : QuestaSim이 가장 범용적으로 사용되는 듯
728x90
반응형